Current jobs related to Backend (Physical Design) Engineer - Singapore - AMLOGIC SINGAPORE PRIVATE LIMITED
-
Physical Design Engineer
1 week ago
Singapore JONDAVIDSON PTE. LTD. Full timeRoles & ResponsibilitiesJob role:1. Works with the front-end design team to complete the chip floorplan , clockarchitecture, and powerplan .2. Takes charge of the physical design tasks from the Netlist to the GDS2, including P&R, formal verification, static timing analysis, physical verification, power analysis, design for reliability (DFR) and tapeout.3....
-
Senior/staff ic backend
6 days ago
Singapore Rrecruiter Pte. Ltd. Full timePosition Description: Collaborate with the front-end design team to finalize the chip's floorplan, clock architecture, and power plan. Lead the physical design process from Netlist to GDSII, covering tasks such as placement and routing (P&R), formal verification, static timing analysis, physical verification, power analysis, design for reliability, and...
-
Backend Design Lead
6 days ago
Singapore Rrecruiter Pte. Ltd. Full timeJob Overview:Rrecruiter Pte. Ltd. is a leading provider of innovative solutions in the field of digital backend design. We are currently seeking a skilled engineer to join our team as a Backend Design Lead.This role offers an exciting opportunity to work on cutting-edge projects, collaborating with experienced engineers and contributing to the development of...
-
Physical Design Engineer
4 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesJob Responsibilities Block-level physical design of large-scale AI chip (Netlist to GDS out) for tapeout. Optimize timing, power, area, etc. Responsible for backend analysis: timing, power, power integrity, signal integrity, etc. Responsible for physical verification including DRC, LVS, ERC, Latchup, etc.Job Qualification ...
-
Singapore LEADTOP TECHNOLOGY PTE. LTD. Full timeRoles & Responsibilities We are a chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities and its core technical team members all have more than 10...
-
Singapore LEADTOP TECHNOLOGY PTE. LTD. Full timeRoles & Responsibilities We are a chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities and its core technical team members all have more than 10...
-
Senior Backend Engineer
6 days ago
Singapore Rrecruiter Pte. Ltd. Full timePosition Description:As a key member of our digital backend team at Rrecruiter Pte. Ltd., you will collaborate closely with the front-end design team to finalize the chip's floorplan, clock architecture, and power plan.We are seeking an experienced engineer to lead the physical design process from Netlist to GDSII, covering tasks such as placement and...
-
IC Senior/ Staff Physical Design Engineer
4 weeks ago
Singapore MASON & CO PTE. LTD. Full timeRoles & ResponsibilitiesA chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities, and its core technical team members all have more than 10 years of...
-
IC Senior/ Staff Physical Design Engineer
4 weeks ago
Singapore MASON & CO PTE. LTD. Full timeRoles & ResponsibilitiesA chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities, and its core technical team members all have more than 10 years of...
-
Physical Design Engineer
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Physical Design Engineer to join our team. As a Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power checks, power...
-
Backend Technology Architect
6 days ago
Singapore Rrecruiter Pte. Ltd. Full timeBackend Technology Architect Job Description:Rrecruiter Pte. Ltd. is a leading provider of innovative solutions in the field of digital backend design. We are currently seeking a skilled Backend Technology Architect to join our team.This role offers an exciting opportunity to work on cutting-edge projects, collaborating with experienced engineers and...
-
Physical Design Engineer
4 weeks ago
Singapore MIEUX PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: IC physical design of 6nm/4nm/3nm and below world leading advanced process chip, from RTL to GDS. Block/Macro execution role for Synthesis/APR/PV tasks, solving the critical issue and give the solution to other block owners. TOP role for the complicated hierarchical chip (more than 20 million instances plus 1000+...
-
Physical Design Engineer
4 weeks ago
Singapore MIEUX PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: IC physical design of 6nm/4nm/3nm and below world leading advanced process chip, from RTL to GDS. Block/Macro execution role for Synthesis/APR/PV tasks, solving the critical issue and give the solution to other block owners. TOP role for the complicated hierarchical chip (more than 20 million instances plus 1000+...
-
Physical Design Engineer
4 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
4 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
2 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilityResponsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement fixes...
-
Digital IC Backend Specialist
6 days ago
Singapore Rrecruiter Pte. Ltd. Full timeDigital IC Backend Specialist Position Summary:Rrecruiter Pte. Ltd. is seeking a skilled Digital IC Backend Specialist to join our team. As a key member of our digital backend team, you will be responsible for leading the physical design process from Netlist to GDSII, covering tasks such as placement and routing (P&R), formal verification, static timing...
-
Senior Physical Design Engineer
1 week ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
-
Senior Physical Design Engineer
4 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
-
Senior Physical Design Engineer
4 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
Backend (Physical Design) Engineer
4 weeks ago
Job descriptions :
1. Work with the front-end design team to complete the chip floorplan , clock architecture, and powerplan .
2. Responsible for backend/PD tasks from the Netlist to the GDSII, including P&R, formal verification, static timing analysis, physical verification, power analysis, design for reliability and tape-out.
3. Research the physical design methodology of advanced process nodes, and builds an automatic physical design platform.
Job requirements:
· Bachelor's degree or above in electronic engineering, microelectronics, or computer science.
· At least Three (3) years of work experience in Place & Route. Hands-on project experience at advanced technology is required.
· Strong communication ability, good confidence and self-motivation.
What we offer:
· Competitive salary package
· Medical insurance (including dental)
· Annual Health Screening
· Company trip & teambuilding activities
Tell employers what skills you have
Physical Verification
DFM
Static Timing Analysis
System on Chip
Timing Closure
Floorplanning
Debugging
Screening
IC
Physical Design