IC Senior/ Staff Physical Design Engineer
3 weeks ago
A chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities, and its core technical team members all have more than 10 years of experience in chip design. They have rich industry experience and deep practical accumulation in ASIC design and business management.
Job Description:
1. Works with the front-end design team to complete the chip floorplan , clock architecture, and powerplan .
2. Takes charge of the physical design tasks from the Netlist to the GDSII, including P&R, formal verification, static timing analysis, physical verification, power analysis, design for reliability and tapeout.
Position Requirements:
1. Holds a bachelor's degree or above in electronic engineering, microelectronics, or computer science.
2. At least five years of work experience in the digital backend design field. Hands-on project experience is required. Tapeout experience at advanced technology is preferred.
3. Those with experience in TCL , Perl or Python script development and familiar with EDA tool design will be plus.
4. Strong communication ability, good confidence and self-motivation.
5. Bilingual in English and Chinese to coordinate with related stakeholders and with good teamwork spirit.
HOW TO APPLY:
Interested applicants, kindly send your resume to thea.cheah@masonac.com
We regret only shortlisted candidates will be notified.
Important Note: Mason & Co is committed to safeguarding your personal data in accordance with the Personal Data Protection Act (PDPA).
Mason & Co Pte Ltd
EA License No: 19C9622
EA Personnel: Cheah Wei Xuan (Thea)
EA Personnel Reg No: R23118878
Tell employers what skills you have
Perl
Static Timing Analysis
Timing Closure
Floorplanning
TCL
EDA
Reliability
Python
Budgeting
ASIC
IC
Physical Design
Electrical Engineering
-
Singapore Anradus Full timeIC Design Engineer/ IC Physical Design Job Summary: We are seeking an experienced IC Design Engineer/ IC Physical Design Engineer to join our team in Jurong East, Singapore. Key Responsibilities: Design and develop analog IC physical designs, including layout drawing, DRC, and LVS. Conduct layout extractions and analyses for IC designers, ensuring accurate...
-
Singapore Anradus Full timeIC Design Engineer/ IC Physical Design Engineer #74185Job DescriptionIndustry/ Organization Type: SemiconductorPosition Title: IC Design Engineer/ IC Physical Design EngineerWorking Location: Jurong East (close to Jurong East MRT)Working Hours: Monday – Friday, 9am – 6pmSalary Package: Basic + AWS + Performance BonusDuration: PermanentKey...
-
Singapore LEADTOP TECHNOLOGY PTE. LTD. Full timeRoles & Responsibilities We are a chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities and its core technical team members all have more than 10...
-
Singapore LEADTOP TECHNOLOGY PTE. LTD. Full timeRoles & Responsibilities We are a chip design service company invested by an international consortium and founded by a senior chip design technical team. The company is headquartered in Singapore and will take root in Singapore for long-term development. The company has strong R&D capabilities and its core technical team members all have more than 10...
-
Physical Design Engineer
3 weeks ago
Singapore MIEUX PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: IC physical design of 6nm/4nm/3nm and below world leading advanced process chip, from RTL to GDS. Block/Macro execution role for Synthesis/APR/PV tasks, solving the critical issue and give the solution to other block owners. TOP role for the complicated hierarchical chip (more than 20 million instances plus 1000+...
-
Physical Design Engineer
3 weeks ago
Singapore MIEUX PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: IC physical design of 6nm/4nm/3nm and below world leading advanced process chip, from RTL to GDS. Block/Macro execution role for Synthesis/APR/PV tasks, solving the critical issue and give the solution to other block owners. TOP role for the complicated hierarchical chip (more than 20 million instances plus 1000+...
-
Senior Physical Design Engineer
6 days ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
-
Senior Physical Design Engineer
3 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
-
Senior Physical Design Engineer
3 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
-
Analog Physical Design Engineer
2 days ago
Singapore AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED Full timeRoles & ResponsibilitiesWe have an exciting position in the explosive growth area of Data Center Enterprise Storage. In this role you will be responsible fordeveloping the most advanced semiconductor devices to support stringent high capacity storage needs across all the top tier serviceproviders. Our IC R&D team is looking for an experienced physical design...
-
IC Design Engineer #74185
1 week ago
Singapore ANRADUS PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Industry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey Responsibilities Develop analog...
-
IC Design Engineer #74185
1 week ago
Singapore ANRADUS PTE. LTD. Full timeRoles & ResponsibilitiesJob DescriptionIndustry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey ResponsibilitiesDevelop analog IC...
-
Senior Analog IC Design Specialist
4 days ago
Singapore Anradus Full timeWe are seeking an experienced Senior Analog IC Design Specialist to join our team at Anradus. Job DescriptionThe ideal candidate will have expertise in designing high-quality analog IC physical designs, including layout drawing, DRC, and LVS.Key Responsibilities:Design and develop analog IC physical designs using Cadence, Synopsys, or Silvaco tools.Conduct...
-
Physical Design Engineer
3 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
3 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
1 week ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilityResponsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement fixes...
-
Physical Design Engineer
6 days ago
Singapore JONDAVIDSON PTE. LTD. Full timeRoles & ResponsibilitiesJob role:1. Works with the front-end design team to complete the chip floorplan , clockarchitecture, and powerplan .2. Takes charge of the physical design tasks from the Netlist to the GDS2, including P&R, formal verification, static timing analysis, physical verification, power analysis, design for reliability (DFR) and tapeout.3....
-
Senior Physical Design Engineer
3 weeks ago
Singapore SUNLUNE (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesJob Responsibilities: Strategize and Lead Low-Power Design Initiatives:Define and execute strategies for achieving low-power, high-performance physical design. Lead the development of innovative workflows and methodologies to minimize power consumption while ensuring design reliability and scalability. Oversee Full-Chip Floor...
-
Senior Physical Design Engineer
3 weeks ago
Singapore SUNLUNE (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesJob Responsibilities: Strategize and Lead Low-Power Design Initiatives:Define and execute strategies for achieving low-power, high-performance physical design. Lead the development of innovative workflows and methodologies to minimize power consumption while ensuring design reliability and scalability. Oversee Full-Chip Floor...
-
Senior Physical Design Engineer
3 weeks ago
Singapore ALPSOFT TECHNOLOGIES PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilities: Full responsible for Netlist-to-GDS physical design implementation of 12nm/6nm/4nm and below advanced process chips. Block owner, take block of 2~3 Million instances, working on Synthesis/APR(auto place and route)/Signoff Block coordinator role for more than 5~10 blocks, solving the critical issue and give the...