Current jobs related to FE/RTL Designer - Singapore - Bitdeer Group
-
RTL Designer
4 weeks ago
Singapore CURIOUS TEK PTE. LTD. Full timeRoles & ResponsibilitiesJob Responsibilities: Perform IC design development of SerDes IP products Perform Logic Synthesis, Static Timing Analysis Lead DFT related activities - Scan Insertion, ATPG, Pattern Validation Work with Physical designer and RTL designer to achieve timing closure Work with test team in debugging production test issues Help debug...
-
RTL Designer
4 weeks ago
Singapore CURIOUS TEK PTE. LTD. Full timeRoles & ResponsibilitiesJob Responsibilities: Perform IC design development of SerDes IP products Perform Logic Synthesis, Static Timing Analysis Lead DFT related activities - Scan Insertion, ATPG, Pattern Validation Work with Physical designer and RTL designer to achieve timing closure Work with test team in debugging production test issues Help debug...
-
RTL Designer
4 days ago
Singapore CURIOUS TEK PTE. LTD. Full timeJob Responsibilities: Perform IC design development of SerDes IP products Perform Logic Synthesis, Static Timing Analysis Lead DFT related activities - Scan Insertion, ATPG, Pattern Validation Work with Physical designer and RTL designer to achieve timing closure Work with test team in debugging production test issues Help debug & correct any functional...
-
RTL Design Engineer
3 weeks ago
Singapore HKM HR MANAGEMENT PTE. LTD. Full timeJob Title: RTL Design EngineerAbout the Role:We are seeking an experienced RTL design engineer to join our team. As a key member of our ASIC/SoC development group, you will be responsible for leading the design, simulation, and verification of our products.Key Responsibilities:RTL Design: Lead the design, simulation, and verification of our company's...
-
RTL Design Engineer
1 week ago
Singapore HKM HR MANAGEMENT PTE. LTD. Full timeResponsibilities:Lead RTL design, simulation, and verification for TetraMem ASIC/SoC products, ensuring robustness.Integrate and validate IP blocks for seamless system functionality.Analyze requirements for Power, Performance, and Area (PPA), optimizing design trade-offs.Collaborate with backend team in RTL coding, implementation, and synthesis for...
-
Lead Engineer, RTL Design
2 weeks ago
Singapore SEARCH STAFFING SERVICES PTE. LTD. Full timeRoles & ResponsibilitiesOur client is a leading startup in the semiconductor field and a leader in designing ultra low-power microprocessors. The Singapore office houses the Product Development (PD) team which will be working on projects.RTL Lead EngineerResponsibilities:We are seeking a talented RTL Engineer to join our team in Singapore. As an RTL...
-
ISP RTL Design Engineer
2 weeks ago
Singapore OMNIVISION TECHNOLOGIES SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilities Responsible for implementing ISP Algorithm into HW using Verilog, SystemVerilog and/or SystemC (High Level Synthesis) Verify Logic at ISP level and Digital System level Optimize Design for less gate count and low power consumption Drive ISP Design activities in close collaboration with ISP Algorithm Team ...
-
RTL Verification Engineer
2 weeks ago
Singapore SVENTL ASIA PACIFIC PTE. LTD. Full timeRoles & ResponsibilitiesJob Description & RequirementsDevelop verification environment and tests to perform Functional (RTL) testing at IP level and SoC Level Develop IP level/SoC level test plans based on the design/architectural specs. Coverage Analysis and Coding Run simulations & regressions, debug test failures to identify test case issues & RTL...
-
ISP RTL Design Engineer
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:Black Sesame Technologies is looking for enthusiastic fresh graduates to join our team as Design Engineers. We're excited to expand our campus hiring plan for 2025 and are seeking candidates who are eager to dive into the world of ASIC design and contribute to cutting-edge technology.Responsibilities:Assist in...
-
ISP RTL Design Engineer
4 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:Black Sesame Technologies is looking for enthusiastic fresh graduates to join our team as Design Engineers. We’re excited to expand our campus hiring plan for 2025 and are seeking candidates who are eager to dive into the world of ASIC design and contribute to cutting-edge technology.Responsibilities: Assist in...
-
High Energy Efficiency Chip Design Engineer
2 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesJob Description:The High Energy Efficiency Chip design group is looking for a talented and enthusiastic Logic Designer to join our growing team and take part in developing state-of-the-art products. You will be working with the latest silicon technologies and processes to build the most advanced large-scale complex designs. As part of...
-
High Energy Efficiency Chip Design Engineer
2 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesJob Description:The High Energy Efficiency Chip design group is looking for a talented and enthusiastic Logic Designer to join our growing team and take part in developing state-of-the-art products. You will be working with the latest silicon technologies and processes to build the most advanced large-scale complex designs. As part...
-
(Sr.) ISP RTL Design Manager
2 weeks ago
Singapore OMNIVISION TECHNOLOGIES SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilities Responsible for implementing ISP Algorithm into HW using Verilog, SystemVerilog and/or SystemC (High Level Synthesis) Define ISP HW Architecture based on product features and performance requirements, also with gate count and power estimation Verify Logic at ISP level and Digital System level Optimize Design for...
-
MTS Silicon Design Engineer
7 days ago
Singapore XILINX ASIA PACIFIC PTE. LTD. Full timeRoles & ResponsibilitiesTHE ROLE:AMD is seeking a talented, motivated and self-driven digital design engineer to be part of the SerDes Technology group. You will be part of the team developing SerDes/Transceiver designs and solutions. The role involves work on all aspects of the FPGA/ASIC digital design flow including architectural specification, test...
-
Physical Design Engineer
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Physical Design Engineer to join our team. As a Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power checks, power...
-
Digital IC Design Engineer
2 weeks ago
Singapore REALTEK SINGAPORE PRIVATE LIMITED Full timeJOBDESCRIPTION Discussion with system engineers on SoC architecture andfeedback on optimization. Work on SoCintegration; system block development, e.g., power management,clock/reset, system register, test control, PinMux, etc;Discussion with synthesis engineer and back-end engineeron design optimization. SoC DFTsupport; SoC verification plan and...
-
Digital IC Design Engineer
3 weeks ago
Singapore REALTEK SINGAPORE PRIVATE LIMITED Full timeJOB DESCRIPTION Discussion with system engineers on SoC architecture and feedback on optimization. Work on SoC integration; system block development, e.g., power management, clock/reset, system register, test control, PinMux, etc; Discussion with synthesis engineer and back-end engineer on design optimization. SoC DFT support; SoC verification plan and...
-
Staff FPGA Engineer
2 weeks ago
Singapore SEARCH STAFFING SERVICES PTE. LTD. Full timeRoles & ResponsibilitiesOur client is a leading startup in the semiconductor field and a leader in designing ultra low-power microprocessors. The Singapore office houses the Product Development (PD) team which will be working on projects. Staff FPGA EngineerSummaryYou will drive the development and rendering of FPGA images in support of our pre-silicon...
-
Staff/Senior Engineer, ASIC Design
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:The Senior/Staff Design Engineer will be responsible for developing micro-architecture for ISP and CV related algorithms, utilizing RTL design using Verilog/System Verilog coding and HLS tools like Catapult. They will analyze metrics such as power, performance, and area to meet key objectives, collaborate with...
-
Senior Physical Design Engineer
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
FE/RTL Designer
1 week ago
About Bitdeer:
Bitdeer Technologies Group (Nasdaq: BTDR) is a leader in the blockchain and high-performance computing industry. It is one of the world’s largest holders of proprietary hash rate and suppliers of hash rate. Bitdeer is committed to providing comprehensive computing solutions for its customers.
The company was founded by Jihan Wu, an early advocate and pioneer in cryptocurrency who cofounded multiple leading companies serving the blockchain economy. Mr. Wu leads the company as Founder, Chairman, and CEO, while Matt Linghui Kong serves as Bitdeer’s CBO and provides leadership through deep industry knowledge and technology expertise.
Headquartered in Singapore, Bitdeer has deployed mining data centers in the United States, Norway, and Bhutan. It offers specialized mining infrastructure, high-quality hash rate sharing products, and reliable hosting services to global users. The company also offers advanced cloud capabilities for customers with high demands for artificial intelligence.
Dedication, authenticity, and trustworthiness are foundational to our mission of becoming the world’s most reliable provider of full-spectrum blockchain and high-performance computing solutions. We welcome global talent to join us in shaping the future.
What you will be responsible for:
Implement Verilog RTL for various sections of the NPU/CPU/NoC core pipeline and related logic.
Work with hardware and software engineers to define high-level architecture and microarchitecture of next-generation high-performance AI cores.
Support synthesis, timing closure, power reduction, and floorplanning efforts to optimize performance and efficiency.
Contribute to design verification and assist in debugging issues across pre-silicon and post-silicon stages.
How you will stand out:
Master’s degree (preferred) or Bachelor’s degree in Electrical Engineering, Computer Engineering, or Computer Science, with a focus on computer architecture.
Verilog RTL development experience with industry-standard tools in a CPU, SoC, or ASIC environment.
Strong understanding of NPU/CPU architecture and logic design.
Familiarity with power-saving techniques and microarchitecture development.
Awareness of synthesis, place & route (P&R), and timing closure concepts.
Strong problem-solving and debugging skills.
Background in ASIC implementation, particularly synthesis flow and static timing analysis.
Knowledge of Design-for-Test (DFT) and Design-for-Debug (DFD) techniques.
Experience with clocking, reset sequences, power-up sequences, and power management.
Exposure to physical design and verification methods.
Familiarity with x86 or ARM ISA.
Comfort with scripting languages (Perl, Shell, TCL) to automate design tasks.
What you will experience working with us:
A culture that values authenticity and diversity of thoughts and backgrounds.
An inclusive and respectable environment with open workspaces and exciting start-up spirit.
Fast-growing company with the chance to network with industrial pioneers and enthusiasts.
Ability to contribute directly and make an impact on the future of the digital asset industry.
Involvement in new projects, developing processes/systems.
Personal accountability, autonomy, fast growth, and learning opportunities.
Attractive welfare benefits and developmental opportunities such as training and mentoring.
Bitdeer is committed to providing equal employment opportunities in accordance with country, state, and local laws. Bitdeer does not discriminate against employees or applicants based on conditions such as race, colour, gender identity and/or expression, sexual orientation, marital and/or parental status, religion, political opinion, nationality, ethnic background or social origin, social status, disability, age, indigenous status, and union.
#J-18808-Ljbffr