Current jobs related to Integrated Circuit Physical Designer - Singapore - Anradus
-
Professor of Integrated Circuits and Systems
19 hours ago
Singapore NTU (Nanyang Technology University- Main Office-HR) Full timeWe are seeking a highly experienced Professor of Integrated Circuits and Systems to join our team at Nanyang Technological University (NTU). This esteemed position will be held at the School of Electrical and Electronic Engineering, where you will contribute to our research excellence in integrated circuits and systems.About the RoleThis role is an excellent...
-
Singapore NTU (Nanyang Technology University- Main Office-HR) Full timeEndowed Professorship in Integrated Circuits Design Nanyang Technological University (NTU) invites applications for a stimulating and rewarding academic journey. We seek candidates at various career stages. About the Role This position will be held at the School of Electrical and Electronic Engineering. Areas of focus include: Emerging AI Edge and Machine...
-
Singapore NTU (Nanyang Technology University- Main Office-HR) Full timeNanyang Technological University (NTU) invites applications for an Endowed Professorship in Integrated Circuits Design, offering a stimulating and rewarding academic journey. We seek candidates at various career stages: a distinguished Professor with an exemplary research track record, a Practice Professor with substantial industry experience to lead...
-
Analog Physical Design Engineer
1 day ago
Singapore AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED Full timeRoles & ResponsibilitiesJob DescriptionWe have an exciting position in the explosive growth area of Data Center Enterprise Storage. In this role you will be responsible for developing the most advanced semiconductor devices to support stringent high capacity storage needs across all the top tier service providers. Our IC R&D team is looking for an...
-
IC Physical Design Engineer
5 hours ago
Singapore MEDIATEK SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesJob DescriptionWorking on 6nm and below advanced process project APR and Timing/PI sign-off Take the TOP and PM role for the complicated hierarchical chip (more than 20M inst plus 500+ macros) Take the Block or Block coordinator role for complex blocks Do early prediction for critical APR/Timing/PI issuesJob...
-
Analog Physical Design Engineer
7 days ago
Singapore AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED Full timeRoles & ResponsibilitiesWe have an exciting position in the explosive growth area of Data Center Enterprise Storage. In this role you will be responsible fordeveloping the most advanced semiconductor devices to support stringent high capacity storage needs across all the top tier serviceproviders. Our IC R&D team is looking for an experienced physical design...
-
Singapore Anradus Full timeIC Design Engineer/ IC Physical Design Engineer #74185Job DescriptionIndustry/ Organization Type: SemiconductorPosition Title: IC Design Engineer/ IC Physical Design EngineerWorking Location: Jurong East (close to Jurong East MRT)Working Hours: Monday – Friday, 9am – 6pmSalary Package: Basic + AWS + Performance BonusDuration: PermanentKey...
-
SOC Physical Design Engineer
4 weeks ago
Singapore PERSOLKELLY SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: Responsible for physical design, development for variety of SoC(System On Chip) and tapeout. Execute state-of-art methodologies include, floor-planning, place and route, RC extraction, timing and power optimization, physical verification. Doing benchmarking on Performance/Power/Area to validate the robustness of...
-
SOC Physical Design Engineer
4 weeks ago
Singapore PERSOLKELLY SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesJob Description: Responsible for physical design, development for variety of SoC(System On Chip) and tapeout. Execute state-of-art methodologies include, floor-planning, place and route, RC extraction, timing and power optimization, physical verification. Doing benchmarking on Performance/Power/Area to validate the robustness of...
-
Singapore MEDIATEK SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesThe JobDesign of Power Management Integrated Chips (PMICs) and Power Management Units (PMUs) for a variety of smart phones, IoT, automotive, and ASIC applications The design includes DC-DC converters, Low drop-out linear voltage regulators (LDOs), Switch-capacitor regulators, reference, clock generators and power on reset (POR)...
-
Research Fellow
3 weeks ago
Singapore NTU (Nanyang Technology University- Main Office-HR) Full timeA Research Fellow position is available in the Centre for Integrated Circuits and Systems, School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore.Job Description:Support the research for the project titled:Terahertz Transmitter and Receiver Antennas for Wireless Communications. The project investigates the On-chip Hybrid...
-
Integrated Circuit Designer
7 days ago
Singapore STARFIVE INTERNATIONAL PTE. LTD. Full timeRoles & ResponsibilitiesStarFive is a leading provider of RISCV processors, platforms and solutions. StarFive products are widely used in smart home appliances, smart monitoring, industrial robots, traffic management, intelligent logistics, wearable devices, solid state storage, network communications and edge computing, etc.We are intensively designing next...
-
IC Design Engineer #74185
2 weeks ago
Singapore ANRADUS PTE. LTD. Full timeRoles & ResponsibilitiesJob DescriptionIndustry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey ResponsibilitiesDevelop analog IC...
-
IC Design Engineer #74185
2 weeks ago
Singapore ANRADUS PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Industry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey Responsibilities Develop analog...
-
Physical Design Engineer
2 weeks ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Physical Design Engineer to join our team. As a Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power checks, power...
-
Senior IC Design Expert
19 hours ago
Singapore NTU (Nanyang Technology University- Main Office-HR) Full timeWe are seeking a highly skilled Senior IC Design Expert to join our team at Nanyang Technological University (NTU). This esteemed position will be held at the School of Electrical and Electronic Engineering, where you will contribute to our research excellence in integrated circuits and systems.About the RoleThis role is an excellent opportunity for a...
-
Physical Design Engineer
4 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
4 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...
-
Physical Design Engineer
2 weeks ago
Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilityResponsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement fixes...
-
Senior Physical Design Engineer
1 week ago
Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full timeRoles & ResponsibilitiesPosition Overview:We are seeking a skilled Senior Physical Design Engineer to join our team. As a Senior Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power...
Integrated Circuit Physical Designer
1 week ago
Anrandus is hiring an Integrated Circuit Physical Designer to enhance our IC design capabilities.
Responsibilities- The selected candidate will be responsible for designing high-quality analog IC physical designs, including layout drawing, DRC, and LVS.
- Collaborate with the IC design team to integrate and refine layout designs, achieving project goals.
- Develop a strong understanding of circuit testing principles and utilize this knowledge to create effective test structures for validating digital and analog cells.
- Work closely with the team lead to finalize physical designs, ensuring quality and efficiency.
Requirements:
- Bachelor's Degree in Electrical & Electronic Engineering or related field, with a focus on Integrated Circuit Design.
- Proficient in EDA tools like Cadence, Synopsys, or Silvaco, with experience in tool configuration and workflow optimization.
- Skilled in cell characterization tools like Liberate, SiliconSmart, or Viola, with expertise in data analysis and interpretation.
- Familiarity with ASIC design, particularly full custom design methods, and knowledge of digital circuit reliability issues like latch-up, soft errors, and aging.