Digital IC Designer with Power Optimization Expertise

5 days ago


Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time
Job Title: Digital IC Design EngineerRole Description:

We are seeking an experienced Digital IC Design Engineer to join our team at REALTEK SINGAPORE PRIVATE LIMITED. The successful candidate will be responsible for collaborating with system engineers to refine timing requirements and provide feedback on optimization.

Key Responsibilities:
  • Timing Closure: Optimization of SoC timing constraint and synthesis for efficient timing closure.
  • Power Structure Development: Development and implementation of power structures, including isolation of power domains and level-shifter crossing voltage domains.
  • Formal Verification: Conducting formal verification for release comparison purposes.
  • Timing Violation Analysis: Support in back-end implementation of timing violation analysis and resolution.
  • Scan Insertion and Optimization: Assistance in scan insertion and optimization.
  • Power Optimization Initiatives: Collaboration on power structure and power optimization initiatives.
Requirements
  • Bachelor's or Master's degree in Electronic Engineering with experience in ASIC design.
  • Familiarity with the ASIC design flow.
  • Experience in logic synthesis, static timing analysis, and timing closure.
  • Strong understanding of Design-for-Testability (DFT) and power structure concepts.
  • Familiarity with UNIX/Linux environment and scripting capabilities.
  • Excellent communication and interpersonal skills.


  • Singapore Anradus Full time

    IC Design Engineer/ IC Physical Design Job Summary: We are seeking an experienced IC Design Engineer/ IC Physical Design Engineer to join our team in Jurong East, Singapore. Key Responsibilities: Design and develop analog IC physical designs, including layout drawing, DRC, and LVS. Conduct layout extractions and analyses for IC designers, ensuring accurate...


  • Singapore Anradus Full time

    We are seeking an experienced Senior Analog IC Design Specialist to join our team at Anradus. Job DescriptionThe ideal candidate will have expertise in designing high-quality analog IC physical designs, including layout drawing, DRC, and LVS.Key Responsibilities:Design and develop analog IC physical designs using Cadence, Synopsys, or Silvaco tools.Conduct...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    Job Summary: We are seeking a highly skilled Digital IC Circuit Designer to join our team at REALTEK SINGAPORE PRIVATE LIMITED. This role involves developing and optimizing power structures for System-on-Chip (SoC) integration and timing, working closely with cross-functional teams. The ideal candidate will have a strong understanding of Unified Power Format...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    As a skilled Digital IC Design Expert, you will play a key role in the development of complex digital systems. Your primary responsibility will be to design and develop high-performance digital chips, collaborating closely with system engineers to ensure seamless integration.About the RoleYou will be responsible for designing and developing IPs that meet...


  • Singapore Anradus Full time

    IC Design Engineer/ IC Physical Design Engineer #74185Job DescriptionIndustry/ Organization Type: SemiconductorPosition Title: IC Design Engineer/ IC Physical Design EngineerWorking Location: Jurong East (close to Jurong East MRT)Working Hours: Monday – Friday, 9am – 6pmSalary Package: Basic + AWS + Performance BonusDuration: PermanentKey...


  • Singapore ZERRO POWER SYSTEMS PTE. LTD. Full time

    Roles & ResponsibilitiesRTL-level digital design and problem solving Function verification and support Digital synthesis, place-and-route, Design for Test and Static timing analysis Digital functional verification and FPGA verification Fluent in Verilog coding, design, simulation, and verification domains. Team player Usage of Cadence tools like...


  • Singapore ZERRO POWER SYSTEMS PTE. LTD. Full time

    Roles & Responsibilities RTL-level digital design and problem solving Function verification and support Digital synthesis, place-and-route, Design for Test and Static timing analysis Digital functional verification and FPGA verification Fluent in Verilog coding, design, simulation, and verification domains. Team player Usage of Cadence tools like...


  • Singapore Anradus Full time

    Anrandus is looking for a talented Analog and Digital Layout Designer to enhance our IC design capabilities. ResponsibilitiesThe selected candidate will focus on creating high-quality analog and digital library cells, optimizing them for enhanced performance, power efficiency, and area utilization.Work closely with the IC design team to ensure seamless...


  • Singapore Rrecruiter Pte. Ltd. Full time

    Digital IC Backend Specialist Position Summary:Rrecruiter Pte. Ltd. is seeking a skilled Digital IC Backend Specialist to join our team. As a key member of our digital backend team, you will be responsible for leading the physical design process from Netlist to GDSII, covering tasks such as placement and routing (P&R), formal verification, static timing...


  • Singapore ANRADUS PTE. LTD. Full time

    Roles & ResponsibilitiesJob DescriptionIndustry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey ResponsibilitiesDevelop analog IC...


  • Singapore ANRADUS PTE. LTD. Full time

    Roles & ResponsibilitiesJob Description Industry/ Organization Type: Semiconductor Position Title: IC Design Engineer/ IC Physical Design Engineer Working Location: Jurong East (close to Jurong East MRT) Working Hours: Monday – Friday, 9am – 6pm Salary Package: Basic + AWS + Performance Bonus Duration: PermanentKey Responsibilities Develop analog...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOB DESCRIPTION Work with SoC Integration and SoC Timing on power structure optimization Work on UPF development to support different stages of implementation flow and SoC verification Work on power structure, eg. Isolation of power domains, level-shifter crossing voltage domains Conduct power structure check at different implementation stages.  Support...


  • Singapore ANTER RECRUITMENT PTE. LTD. Full time

    Roles & Responsibilities Job Responsiblities: Wireless power and communication ICs, including high-frequency PLLs, DLLs, ADCs, regulators, amplifiers, TX/RX circuits, and Clock Data Recovery (CDR) circuits. Develop and verify high-frequency A/D (Analog-to-Digital) and D/A (Digital-to-Analog) conversion architectures for mmWave power IC applications. ...


  • Singapore ANTER RECRUITMENT PTE. LTD. Full time

    Roles & Responsibilities Job Responsiblities: Wireless power and communication ICs, including high-frequency PLLs, DLLs, ADCs, regulators, amplifiers, TX/RX circuits, and Clock Data Recovery (CDR) circuits. Develop and verify high-frequency A/D (Analog-to-Digital) and D/A (Digital-to-Analog) conversion architectures for mmWave power IC applications. ...


  • Singapore ANTER RECRUITMENT PTE. LTD. Full time

    Roles & ResponsibilitiesJob Responsiblities: Wireless power and communication ICs, including high-frequency PLLs, DLLs, ADCs, regulators, amplifiers, TX/RX circuits, and Clock Data Recovery (CDR) circuits. Develop and verify high-frequency A/D (Analog-to-Digital) and D/A (Digital-to-Analog) conversion architectures for mmWave power IC applications. ...


  • Singapore ANTER RECRUITMENT PTE. LTD. Full time

    Roles & ResponsibilitiesJob Responsiblities:Wireless power and communication ICs, including high-frequency PLLs, DLLs, ADCs, regulators, amplifiers, TX/RX circuits, and Clock Data Recovery (CDR) circuits. Develop and verify high-frequency A/D (Analog-to-Digital) and D/A (Digital-to-Analog) conversion architectures for mmWave power IC applications. ...


  • Singapore ETHOS TECH ONE PTE. LTD. Full time

    Roles & ResponsibilitiesJob DescriptionDesign and Develop ICs using leading EDA software; work on RTL to GDS, including synthesis, layout, floor planning, placement, clock tree insertion and routing. Responsible for GDS validation like DRC/LVS, timing closure sign-off, scan, validation etc. Design, implement and maintain synthesis, DFT and Static Timing...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    Roles & ResponsibilitiesJOB DESCRIPTION· Work with system engineers on timing requirements and feedback on optimization.· Work on SoC timing constraint, synthesis and timing closure.· Work on power structure, eg. Isolation of power domains, level-shifter crossing voltage domains· Conduct formal verification for release comparison.· Support back-end...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    System-on-Chip (SoC) Design Engineer Job Summary We are seeking an experienced System-on-Chip (SoC) Design Engineer to join our team. The successful candidate will be responsible for designing and developing complex digital systems, working closely with system engineers on IP requirements and microarchitecture. Key Responsibilities Collaborate with system...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    Job Summary: Develop and optimize power structures for System-on-Chip (SoC) integration and timing, working closely with cross-functional teams. Key Responsibilities: Design and implement Unified Power Format (UPF) to support various stages of implementation flow and SoC verification Work on power structure optimization, including isolation of power domains...