
Digital IC Design Engineer
7 days ago
JOB DESCRIPTION
- Work with system engineers on timing requirements and feedback on optimization.
- Work on SoC timing constraint, synthesis and timing closure.
- Work on power structure, eg. Isolation of power domains, level-shifter crossing voltage domains
- Conduct formal verification for release comparison.
- Support back-end implementation on timing violation analysis and fix.
- Support scan insertion and optimization.
- Support on power structure and power optimization.
JOB REQUIREMENTS
- Bachelors or Masters Degree in Electronic Engineering with ASIC design experience.
- Familiar with ASIC design flow
- Experience in logic synthesis, static timing analysis, timing closure
- Good understanding of DFT and Power structure.
- Familiar with UNIX/ Linux environment and scripting
- Good communication and interpersonal skills
-
IC Physical Design Engineer
3 weeks ago
Singapore ZERO-ERROR SYSTEMS PTE. LTD. Full timeRoles & ResponsibilitiesKey Responsibilities• Fast development of analog IC physical designs, including layout drawing, DRC, LVS• Layout extractions and analysis for IC designers• Develop analog and/or digital library cells in various technologies (e.g. CMOS & SOI)• Layout digital cells for various trade-offs (including speed, power, area,...
-
IC Physical Design Engineer
3 weeks ago
Singapore ZERO-ERROR SYSTEMS PTE. LTD. Full timeRoles & ResponsibilitiesKey Responsibilities• Fast development of analog IC physical designs, including layout drawing, DRC, LVS• Layout extractions and analysis for IC designers• Develop analog and/or digital library cells in various technologies (e.g. CMOS & SOI)• Layout digital cells for various trade-offs (including speed, power, area,...
-
Mixed-Signal IC Design Engineer
3 weeks ago
Singapore AAC TECHNOLOGIES PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilities: The Mixed-Signal IC (MEMS Interface) Design Engineer will be responsible for the design and development of capacitive and/or piezoelectric MEMS interface ASICs, including the required amplifiers, ADCs, filters and control logic, with characteristic high impedance inputs, ultra low power, low noise circuits etc . ...
-
Mixed-Signal IC Design Engineer
3 weeks ago
Singapore AAC TECHNOLOGIES PTE. LTD. Full timeRoles & ResponsibilitiesResponsibilities: The Mixed-Signal IC (MEMS Interface) Design Engineer will be responsible for the design and development of capacitive and/or piezoelectric MEMS interface ASICs, including the required amplifiers, ADCs, filters and control logic, with characteristic high impedance inputs, ultra low power, low noise circuits etc . ...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS TECH ONE PTE. LTD. Full timeRoles & ResponsibilitiesJob Descriptions Develop and Review Test Plan based on IC design specification Develop constrained-Random verification environment for complex DUT Develop/Modify Testbenches and test programmes using UVM-SV for Pre-Silicon IP/ICs/SOCs and ensure product meet their performance Implement coverage matrix using cover point and...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS TECH ONE PTE. LTD. Full timeRoles & ResponsibilitiesJob Descriptions Develop and Review Test Plan based on IC design specification Develop constrained-Random verification environment for complex DUT Develop/Modify Testbenches and test programmes using UVM-SV for Pre-Silicon IP/ICs/SOCs and ensure product meet their performance Implement coverage matrix using cover point and...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full timeRoles & ResponsibilitiesJob Descriptions Develop and Review Test Plan based on IC design specification Develop constrained-Random verification environment for complex DUT Develop/Modify Testbenches and test programmes using UVM-SV for Pre-Silicon IP/ICs/SOCs and ensure product meet their performance Implement coverage matrix using cover point and...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full timeRoles & ResponsibilitiesJob Descriptions Develop and Review Test Plan based on IC design specification Develop constrained-Random verification environment for complex DUT Develop/Modify Testbenches and test programmes using UVM-SV for Pre-Silicon IP/ICs/SOCs and ensure product meet their performance Implement coverage matrix using cover point and...
-
Digital Design Engineer, Radio System Solutions
3 weeks ago
Singapore MEDIATEK SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesWhat you will do: Responsible for the design and verification of digital IC circuit blocks within analog/RF IPs. Create analog/RF macro models for system level co-simulation verification. Testing & Debugging of IC prototypes (with system verification engineers). Support of IC to mass production ready. Continual support / debug of...
-
Digital Design Engineer, Radio System Solutions
3 weeks ago
Singapore MEDIATEK SINGAPORE PTE. LTD. Full timeRoles & ResponsibilitiesWhat you will do: Responsible for the design and verification of digital IC circuit blocks within analog/RF IPs. Create analog/RF macro models for system level co-simulation verification. Testing & Debugging of IC prototypes (with system verification engineers). Support of IC to mass production ready. Continual support / debug of...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS TECH ONE PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Design and Develop ICs using leading EDA software; work on RTL to GDS, including synthesis, layout, floor planning, placement, clock tree insertion and routing. Responsible for GDS validation like DRC/LVS, timing closure sign-off, scan, validation etc. Design, implement and maintain synthesis, DFT and Static Timing...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS TECH ONE PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Design and Develop ICs using leading EDA software; work on RTL to GDS, including synthesis, layout, floor planning, placement, clock tree insertion and routing. Responsible for GDS validation like DRC/LVS, timing closure sign-off, scan, validation etc. Design, implement and maintain synthesis, DFT and Static Timing...
-
High-Frequency IC Design Engineer
5 days ago
Singapore ANTER RECRUITMENT PTE. LTD. Full timeJob DescriptionAt ANTER RECRUITMENT PTE. LTD., we are seeking an experienced High-Frequency IC Design Engineer to join our team. The ideal candidate will be responsible for designing and developing high-performance analog and mixed-signal ICs, with a focus on wireless power transmission and beamforming applications.Key Responsibilities:Design and develop...
-
Analog IC Design Specialist
6 days ago
Singapore AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED Full timeJob OverviewWe are seeking a skilled Physical Design Engineer to develop advanced semiconductor devices for high-capacity storage needs. This role involves collaborating with circuit design engineers to create high-speed custom analog/mixed signal products for the storage industry.The successful candidate will have experience in custom analog IC physical...
-
Engineer - Analog / Rf Design [radio System
3 weeks ago
Singapore MEDIATEK SINGAPORE PTE. LTD. Full time**Engineer - Analog / RF Design [Radio System Solutions]** - Design of RF/Analog/Mixed-signal IC circuit blocks / IPs based on specifications (performance, area, power consumption). - Realize IC layout with floor planning and performance considerations (with layout engineers). - Testing & Debugging of IC prototypes (with system verification engineers). -...
-
Digital Verification Engineer
4 weeks ago
Singapore ANALOG DEVICES INTERNATIONAL, LLC SINGAPORE BRANCH Full timeRoles & ResponsibilitiesDigital Verification EngineerResponsibilities: Participate in mix-signal IC product design: Chip/block level RTL design and implementation. Design FIR/IIR and signal processing blocks from algorithm, convert Algorithms to digital design. Architecture definition according to product spec. Participate in block and chip level...
-
Digital Verification Engineer
4 weeks ago
Singapore ANALOG DEVICES INTERNATIONAL, LLC SINGAPORE BRANCH Full timeRoles & ResponsibilitiesDigital Verification EngineerResponsibilities: Participate in mix-signal IC product design: Chip/block level RTL design and implementation. Design FIR/IIR and signal processing blocks from algorithm, convert Algorithms to digital design. Architecture definition according to product spec. Participate in block and chip level...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Design and Develop ICs using leading EDA software; work on RTL to GDS, including synthesis, layout, floor planning, placement, clock tree insertion and routing. Responsible for GDS validation like DRC/LVS, timing closure sign-off, scan, validation etc. Design, implement and maintain synthesis, DFT and Static Timing...
-
Sr/ IC Design Engineer
3 weeks ago
Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full timeRoles & ResponsibilitiesJob Description Design and Develop ICs using leading EDA software; work on RTL to GDS, including synthesis, layout, floor planning, placement, clock tree insertion and routing. Responsible for GDS validation like DRC/LVS, timing closure sign-off, scan, validation etc. Design, implement and maintain synthesis, DFT and Static Timing...
-
Physical Design IC Engineer
4 weeks ago
Singapore SVENTL ASIA PACIFIC PTE. LTD. Full timeRoles & ResponsibilitiesRESPONSIBILITIES:Netlist to GDSII at block level, Subsystem Level and at Full chip.• Worked on multiple tapeouts on Netlist to GDSII• Hierarchical partitioning and budgeting of block-level subsystems.• Implementation of high performance (HP) cores, low power designs• Node experience upto 7nm, 10nm, 14nm, 28nm.• Timing...