SOC Physical Design Engineer

1 week ago


Singapore PERSOLKELLY SINGAPORE PTE. LTD. Full time
Roles & Responsibilities

Our client is one of the leader in the semicon industry, they are looking for a SOC Physical Design Engineer to join the team on a 12 month contract

Job description:

  • Responsible for physical design, development for variety of SoC(System On Chip) and tapeout.
  • Execute state-of-art methodologies include, floor-planning, place and route, RC extraction, timing and power optimization, physical verification.
  • Doing benchmarking on Performance/Power/Area to validate the robustness of APR flow.
  • Enhance project efficiency by using scripting language like Perl, TCL, Python etc.
  • Provide feedback to flow development team for company flow enhancement.

Requirements:

  • Bachelor of Science and above degree with microelectronics background.
  • Willingness and ability to travel as needed.
  • Be able to demonstrate you have the ability to prioritise, organise work, meet deadlines, multitask.
  • Good communication and teamwork, able to work under pressure.

PERSOLKELLY Singapore Pte Ltd
• RCB No. 200007268E EA License No. 01C4394
• EA Registration No. R21103542 (Ling Kai Jin)

By sending us your personal data and CV, you are deemed to consent to PERSOLKELLY Singapore Pte Ltd and its affiliates to collect, use and disclose your personal data for account creation in GO and the purposes set out in the Privacy Policy https://www.persolkelly.com.sg/policies. You acknowledge that you have read, understood, and agree with GO's Terms of Use https://go.persolkelly.com/Tacand the Privacy Policy. If you wish to withdraw your consent, please email us at dataprotection@persolkelly.com. Please feel free to contact us if you have any queries.

Tell employers what skills you have

Perl
Static Timing Analysis
Timing Closure
Floorplanning
TCL
Scripting
Python
Budgeting
Benchmarking
IC
Physical Design
Electrical Engineering
rc

  • Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full time

    Roles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...


  • Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full time

    Roles & ResponsibilitiesResponsibility Responsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement...


  • Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full time

    Roles & ResponsibilitiesResponsibilityResponsible for high-performance block implementation (RTL to GDSII). Perform block level floor planning, power grid implementation, APR placement, timing optimization, CTS and routing. Close the design to meet timing, power budget and area targets. Run physical verification flows (DRC/LVS/EM/IR), and implement fixes...


  • Singapore PERSOLKELLY SINGAPORE PTE. LTD. Full time

    Roles & ResponsibilitiesJob Description: Responsible for physical design, development for variety of SoC(System On Chip) and tapeout. Execute state-of-art methodologies include, floor-planning, place and route, RC extraction, timing and power optimization, physical verification. Doing benchmarking on Performance/Power/Area to validate the robustness of...


  • Singapore PERSOLKELLY SINGAPORE PTE. LTD. Full time

    Roles & ResponsibilitiesJob Description: Responsible for physical design, development for variety of SoC(System On Chip) and tapeout. Execute state-of-art methodologies include, floor-planning, place and route, RC extraction, timing and power optimization, physical verification. Doing benchmarking on Performance/Power/Area to validate the robustness of...


  • Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full time

    Roles & ResponsibilitiesKey ResponsibilitiesResponsible for delivering system-on-chip (SoC) Full-Chip Static Timing Analysis. Define and own full chip timing constraint, timing signoff criteria, perform full chip STA, timing ECO creation and oversee final timing signoff for SoCs, and managing tape-outs day-to-day driven by development team. Be responsible...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOB DESCRIPTION Discussion with system engineers on SoC architecture and feedback on optimization. Work on SoC integration; system block development, e.g., power management, clock/reset, system register, test control, PinMux, etc; Discussion with synthesis engineer and back-end engineer on design optimization.    SoC DFT support; SoC verification plan and...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOB DESCRIPTION In this position, the individual thoroughly understands digital design specs of various IP blocks and SoC architecture definition. Develop detailed module level and SoC level test plans for all the functional features, based on the design spec. Develop ASIC verification environment including all the respective components such as stimulus,...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOBDESCRIPTION Discussion with system engineers on SoC architecture andfeedback on optimization. Work on SoCintegration; system block development, e.g., power management,clock/reset, system register, test control, PinMux, etc;Discussion with synthesis engineer and back-end engineeron design optimization.    SoC DFTsupport; SoC verification plan and...


  • Singapore ETHOS SEARCH ASSOCIATES PTE. LTD. Full time

    Roles & ResponsibilitiesKey Responsibilities Responsible for delivering system-on-chip (SoC) Full-Chip Static Timing Analysis. Define and own full chip timing constraint, timing signoff criteria, perform full chip STA, timing ECO creation and oversee final timing signoff for SoCs, and managing tape-outs day-to-day driven by development team. Be...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOB DESCRIPTION Understand the product requirement and create SOC system level architecture according to the requirement.  Understand the use case and create system level test plan. Define design specification for new hardware modules, together with IC design engineer. Work with IC design engineers to resolve the issues during design phase and qualify the...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOB DESCRIPTION Work with SoC Integration and SoC Timing on power structure optimization Work on UPF development to support different stages of implementation flow and SoC verification Work on power structure, eg. Isolation of power domains, level-shifter crossing voltage domains Conduct power structure check at different implementation stages.  Support...


  • Singapore SUNLUNE (SINGAPORE) PTE. LTD. Full time

    Roles & ResponsibilitiesJob Description:We are seeking a SoC Verification Engineer to test and validate System-on-Chip (SoC) designs. You will work with cross-functional teams to ensure chip functionality through verification using UVM, SystemVerilog, Python, and other cutting-edge tools.Responsibilities:Develop verification plans for module and system-level...


  • Singapore BLACK SESAME TECHNOLOGIES (SINGAPORE) PTE. LTD. Full time

    Roles & ResponsibilitiesPosition Overview:We are seeking a skilled Physical Design Engineer to join our team. As a Physical Design Engineer, you will be responsible for the entire process from RTL to GDS, ensuring the successful implementation of complex semiconductor chips. You will focus on block-level timing closure, formal checks, low power checks, power...


  • Singapore HKM HR MANAGEMENT PTE. LTD. Full time

    Roles & ResponsibilitiesResponsibilities: Work closely with design engineers and architects to create and document detailed test plans for verifying the SoC design. Establish and manage the infrastructure and environment for automated verification of the SoC's architecture, functionality, and performance. Develop reusable testbenches, test cases using...


  • Singapore JONDAVIDSON PTE. LTD. Full time

    Roles & ResponsibilitiesJob role:1. Works with the front-end design team to complete the chip floorplan , clockarchitecture, and powerplan .2. Takes charge of the physical design tasks from the Netlist to the GDS2, including P&R, formal verification, static timing analysis, physical verification, power analysis, design for reliability (DFR) and tapeout.3....


  • Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full time

    Roles & ResponsibilitiesJob Responsibilities Participate in feature definitions of the leading-edge AI chips. Participate in the microarchitecture design of AI chip SoC and the subsystems. Participate in the front-end design and the implementation using HDLs. Perform critical analysis and propose novel chip architectures for performance, power, and area...


  • Singapore CANAAN CREATIVE GLOBAL PTE. LTD. Full time

    Roles & ResponsibilitiesJob Responsibilities Participate in feature definitions of the leading-edge AI chips. Participate in the microarchitecture design of AI chip SoC and the subsystems. Participate in the front-end design and the implementation using HDLs. Perform critical analysis and propose novel chip architectures for performance, power, and area...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOBDESCRIPTION In this position, theindividual thoroughly understands digital design specs of variousIP blocks and SoC architecture definition. Develop detailed module level and SoC level test plansfor all the functional features, based on the designspec. Develop ASIC verification environmentincluding all the respective components such as stimulus,...


  • Singapore REALTEK SINGAPORE PRIVATE LIMITED Full time

    JOBDESCRIPTION In this position, theindividual thoroughly understands digital design specs of variousIP blocks and SoC architecture definition. Develop detailed module level and SoC level test plansfor all the functional features, based on the designspec. Develop ASIC verification environmentincluding all the respective components such as stimulus,...